diff --git a/vscode/infclock/.pio/build/env1/.sconsign.py36.dblite b/vscode/infclock/.pio/build/env1/.sconsign.py36.dblite new file mode 100644 index 0000000..6a05016 Binary files /dev/null and b/vscode/infclock/.pio/build/env1/.sconsign.py36.dblite differ diff --git a/vscode/infclock/.pio/build/env1/FrameworkArduino/Esp-frag.cpp.o b/vscode/infclock/.pio/build/env1/FrameworkArduino/Esp-frag.cpp.o new file mode 100644 index 0000000..d20dbd6 Binary files /dev/null and b/vscode/infclock/.pio/build/env1/FrameworkArduino/Esp-frag.cpp.o differ diff --git a/vscode/infclock/.pio/build/env1/FrameworkArduino/Esp-version.cpp.o b/vscode/infclock/.pio/build/env1/FrameworkArduino/Esp-version.cpp.o new file mode 100644 index 0000000..383df1d Binary files /dev/null and b/vscode/infclock/.pio/build/env1/FrameworkArduino/Esp-version.cpp.o differ diff --git a/vscode/infclock/.pio/build/env1/FrameworkArduino/Esp.cpp.o b/vscode/infclock/.pio/build/env1/FrameworkArduino/Esp.cpp.o new file mode 100644 index 0000000..778c66e Binary files /dev/null and b/vscode/infclock/.pio/build/env1/FrameworkArduino/Esp.cpp.o differ diff --git a/vscode/infclock/.pio/build/env1/FrameworkArduino/FS.cpp.o b/vscode/infclock/.pio/build/env1/FrameworkArduino/FS.cpp.o new file mode 100644 index 0000000..5a48255 Binary files /dev/null and b/vscode/infclock/.pio/build/env1/FrameworkArduino/FS.cpp.o differ diff --git a/vscode/infclock/.pio/build/env1/FrameworkArduino/FSnoop.cpp.o b/vscode/infclock/.pio/build/env1/FrameworkArduino/FSnoop.cpp.o new file mode 100644 index 0000000..f1e9e68 Binary files /dev/null and b/vscode/infclock/.pio/build/env1/FrameworkArduino/FSnoop.cpp.o differ diff --git a/vscode/infclock/.pio/build/env1/FrameworkArduino/FunctionalInterrupt.cpp.o b/vscode/infclock/.pio/build/env1/FrameworkArduino/FunctionalInterrupt.cpp.o new file mode 100644 index 0000000..4fc9e36 Binary files /dev/null and b/vscode/infclock/.pio/build/env1/FrameworkArduino/FunctionalInterrupt.cpp.o differ diff --git a/vscode/infclock/.pio/build/env1/FrameworkArduino/HardwareSerial.cpp.o b/vscode/infclock/.pio/build/env1/FrameworkArduino/HardwareSerial.cpp.o new file mode 100644 index 0000000..5e8ab42 Binary files /dev/null and b/vscode/infclock/.pio/build/env1/FrameworkArduino/HardwareSerial.cpp.o differ diff --git a/vscode/infclock/.pio/build/env1/FrameworkArduino/IPAddress.cpp.o b/vscode/infclock/.pio/build/env1/FrameworkArduino/IPAddress.cpp.o new file mode 100644 index 0000000..ecdab24 Binary files /dev/null and b/vscode/infclock/.pio/build/env1/FrameworkArduino/IPAddress.cpp.o differ diff --git a/vscode/infclock/.pio/build/env1/FrameworkArduino/MD5Builder.cpp.o b/vscode/infclock/.pio/build/env1/FrameworkArduino/MD5Builder.cpp.o new file mode 100644 index 0000000..01da7d2 Binary files /dev/null and b/vscode/infclock/.pio/build/env1/FrameworkArduino/MD5Builder.cpp.o differ diff --git a/vscode/infclock/.pio/build/env1/FrameworkArduino/Print.cpp.o b/vscode/infclock/.pio/build/env1/FrameworkArduino/Print.cpp.o new file mode 100644 index 0000000..0246f8b Binary files /dev/null and b/vscode/infclock/.pio/build/env1/FrameworkArduino/Print.cpp.o differ diff --git a/vscode/infclock/.pio/build/env1/FrameworkArduino/Schedule.cpp.o b/vscode/infclock/.pio/build/env1/FrameworkArduino/Schedule.cpp.o new file mode 100644 index 0000000..e30bea1 Binary files /dev/null and b/vscode/infclock/.pio/build/env1/FrameworkArduino/Schedule.cpp.o differ diff --git a/vscode/infclock/.pio/build/env1/FrameworkArduino/StackThunk.cpp.o b/vscode/infclock/.pio/build/env1/FrameworkArduino/StackThunk.cpp.o new file mode 100644 index 0000000..27e3789 Binary files /dev/null and b/vscode/infclock/.pio/build/env1/FrameworkArduino/StackThunk.cpp.o differ diff --git a/vscode/infclock/.pio/build/env1/FrameworkArduino/Stream.cpp.o b/vscode/infclock/.pio/build/env1/FrameworkArduino/Stream.cpp.o new file mode 100644 index 0000000..232c45c Binary files /dev/null and b/vscode/infclock/.pio/build/env1/FrameworkArduino/Stream.cpp.o differ diff --git a/vscode/infclock/.pio/build/env1/FrameworkArduino/StreamString.cpp.o b/vscode/infclock/.pio/build/env1/FrameworkArduino/StreamString.cpp.o new file mode 100644 index 0000000..699d9c8 Binary files /dev/null and b/vscode/infclock/.pio/build/env1/FrameworkArduino/StreamString.cpp.o differ diff --git a/vscode/infclock/.pio/build/env1/FrameworkArduino/Tone.cpp.o b/vscode/infclock/.pio/build/env1/FrameworkArduino/Tone.cpp.o new file mode 100644 index 0000000..ffb52d4 Binary files /dev/null and b/vscode/infclock/.pio/build/env1/FrameworkArduino/Tone.cpp.o differ diff --git a/vscode/infclock/.pio/build/env1/FrameworkArduino/Updater.cpp.o b/vscode/infclock/.pio/build/env1/FrameworkArduino/Updater.cpp.o new file mode 100644 index 0000000..cde2b50 Binary files /dev/null and b/vscode/infclock/.pio/build/env1/FrameworkArduino/Updater.cpp.o differ diff --git a/vscode/infclock/.pio/build/env1/FrameworkArduino/WMath.cpp.o b/vscode/infclock/.pio/build/env1/FrameworkArduino/WMath.cpp.o new file mode 100644 index 0000000..507d1e3 Binary files /dev/null and b/vscode/infclock/.pio/build/env1/FrameworkArduino/WMath.cpp.o differ diff --git a/vscode/infclock/.pio/build/env1/FrameworkArduino/WString.cpp.o b/vscode/infclock/.pio/build/env1/FrameworkArduino/WString.cpp.o new file mode 100644 index 0000000..bfc7d07 Binary files /dev/null and b/vscode/infclock/.pio/build/env1/FrameworkArduino/WString.cpp.o differ diff --git a/vscode/infclock/.pio/build/env1/FrameworkArduino/abi.cpp.o b/vscode/infclock/.pio/build/env1/FrameworkArduino/abi.cpp.o new file mode 100644 index 0000000..a92ad49 Binary files /dev/null and b/vscode/infclock/.pio/build/env1/FrameworkArduino/abi.cpp.o differ diff --git a/vscode/infclock/.pio/build/env1/FrameworkArduino/base64.cpp.o b/vscode/infclock/.pio/build/env1/FrameworkArduino/base64.cpp.o new file mode 100644 index 0000000..1e2b7f7 Binary files /dev/null and b/vscode/infclock/.pio/build/env1/FrameworkArduino/base64.cpp.o differ diff --git a/vscode/infclock/.pio/build/env1/FrameworkArduino/cbuf.cpp.o b/vscode/infclock/.pio/build/env1/FrameworkArduino/cbuf.cpp.o new file mode 100644 index 0000000..0bfbdf3 Binary files /dev/null and b/vscode/infclock/.pio/build/env1/FrameworkArduino/cbuf.cpp.o differ diff --git a/vscode/infclock/.pio/build/env1/FrameworkArduino/cont.S.o b/vscode/infclock/.pio/build/env1/FrameworkArduino/cont.S.o new file mode 100644 index 0000000..ec43462 Binary files /dev/null and b/vscode/infclock/.pio/build/env1/FrameworkArduino/cont.S.o differ diff --git a/vscode/infclock/.pio/build/env1/FrameworkArduino/cont_util.cpp.o b/vscode/infclock/.pio/build/env1/FrameworkArduino/cont_util.cpp.o new file mode 100644 index 0000000..9257a1b Binary files /dev/null and b/vscode/infclock/.pio/build/env1/FrameworkArduino/cont_util.cpp.o differ diff --git a/vscode/infclock/.pio/build/env1/FrameworkArduino/core_esp8266_app_entry_noextra4k.cpp.o b/vscode/infclock/.pio/build/env1/FrameworkArduino/core_esp8266_app_entry_noextra4k.cpp.o new file mode 100644 index 0000000..30b2194 Binary files /dev/null and b/vscode/infclock/.pio/build/env1/FrameworkArduino/core_esp8266_app_entry_noextra4k.cpp.o differ diff --git a/vscode/infclock/.pio/build/env1/FrameworkArduino/core_esp8266_eboot_command.cpp.o b/vscode/infclock/.pio/build/env1/FrameworkArduino/core_esp8266_eboot_command.cpp.o new file mode 100644 index 0000000..fba3a0a Binary files /dev/null and b/vscode/infclock/.pio/build/env1/FrameworkArduino/core_esp8266_eboot_command.cpp.o differ diff --git a/vscode/infclock/.pio/build/env1/FrameworkArduino/core_esp8266_features.cpp.o b/vscode/infclock/.pio/build/env1/FrameworkArduino/core_esp8266_features.cpp.o new file mode 100644 index 0000000..6c77e20 Binary files /dev/null and b/vscode/infclock/.pio/build/env1/FrameworkArduino/core_esp8266_features.cpp.o differ diff --git a/vscode/infclock/.pio/build/env1/FrameworkArduino/core_esp8266_flash_utils.cpp.o b/vscode/infclock/.pio/build/env1/FrameworkArduino/core_esp8266_flash_utils.cpp.o new file mode 100644 index 0000000..108730a Binary files /dev/null and b/vscode/infclock/.pio/build/env1/FrameworkArduino/core_esp8266_flash_utils.cpp.o differ diff --git a/vscode/infclock/.pio/build/env1/FrameworkArduino/core_esp8266_i2s.cpp.o b/vscode/infclock/.pio/build/env1/FrameworkArduino/core_esp8266_i2s.cpp.o new file mode 100644 index 0000000..94cac6f Binary files /dev/null and b/vscode/infclock/.pio/build/env1/FrameworkArduino/core_esp8266_i2s.cpp.o differ diff --git a/vscode/infclock/.pio/build/env1/FrameworkArduino/core_esp8266_main.cpp.o b/vscode/infclock/.pio/build/env1/FrameworkArduino/core_esp8266_main.cpp.o new file mode 100644 index 0000000..fb805d8 Binary files /dev/null and b/vscode/infclock/.pio/build/env1/FrameworkArduino/core_esp8266_main.cpp.o differ diff --git a/vscode/infclock/.pio/build/env1/FrameworkArduino/core_esp8266_noniso.cpp.o b/vscode/infclock/.pio/build/env1/FrameworkArduino/core_esp8266_noniso.cpp.o new file mode 100644 index 0000000..69c2458 Binary files /dev/null and b/vscode/infclock/.pio/build/env1/FrameworkArduino/core_esp8266_noniso.cpp.o differ diff --git a/vscode/infclock/.pio/build/env1/FrameworkArduino/core_esp8266_phy.cpp.o b/vscode/infclock/.pio/build/env1/FrameworkArduino/core_esp8266_phy.cpp.o new file mode 100644 index 0000000..7e72420 Binary files /dev/null and b/vscode/infclock/.pio/build/env1/FrameworkArduino/core_esp8266_phy.cpp.o differ diff --git a/vscode/infclock/.pio/build/env1/FrameworkArduino/core_esp8266_postmortem.cpp.o b/vscode/infclock/.pio/build/env1/FrameworkArduino/core_esp8266_postmortem.cpp.o new file mode 100644 index 0000000..b9087f0 Binary files /dev/null and b/vscode/infclock/.pio/build/env1/FrameworkArduino/core_esp8266_postmortem.cpp.o differ diff --git a/vscode/infclock/.pio/build/env1/FrameworkArduino/core_esp8266_si2c.cpp.o b/vscode/infclock/.pio/build/env1/FrameworkArduino/core_esp8266_si2c.cpp.o new file mode 100644 index 0000000..2caf874 Binary files /dev/null and b/vscode/infclock/.pio/build/env1/FrameworkArduino/core_esp8266_si2c.cpp.o differ diff --git a/vscode/infclock/.pio/build/env1/FrameworkArduino/core_esp8266_sigma_delta.cpp.o b/vscode/infclock/.pio/build/env1/FrameworkArduino/core_esp8266_sigma_delta.cpp.o new file mode 100644 index 0000000..b6c0ef4 Binary files /dev/null and b/vscode/infclock/.pio/build/env1/FrameworkArduino/core_esp8266_sigma_delta.cpp.o differ diff --git a/vscode/infclock/.pio/build/env1/FrameworkArduino/core_esp8266_spi_utils.cpp.o b/vscode/infclock/.pio/build/env1/FrameworkArduino/core_esp8266_spi_utils.cpp.o new file mode 100644 index 0000000..032377d Binary files /dev/null and b/vscode/infclock/.pio/build/env1/FrameworkArduino/core_esp8266_spi_utils.cpp.o differ diff --git a/vscode/infclock/.pio/build/env1/FrameworkArduino/core_esp8266_timer.cpp.o b/vscode/infclock/.pio/build/env1/FrameworkArduino/core_esp8266_timer.cpp.o new file mode 100644 index 0000000..f181c1e Binary files /dev/null and b/vscode/infclock/.pio/build/env1/FrameworkArduino/core_esp8266_timer.cpp.o differ diff --git a/vscode/infclock/.pio/build/env1/FrameworkArduino/core_esp8266_waveform.cpp.o b/vscode/infclock/.pio/build/env1/FrameworkArduino/core_esp8266_waveform.cpp.o new file mode 100644 index 0000000..ec11c6f Binary files /dev/null and b/vscode/infclock/.pio/build/env1/FrameworkArduino/core_esp8266_waveform.cpp.o differ diff --git a/vscode/infclock/.pio/build/env1/FrameworkArduino/core_esp8266_wiring.cpp.o b/vscode/infclock/.pio/build/env1/FrameworkArduino/core_esp8266_wiring.cpp.o new file mode 100644 index 0000000..66f2e6b Binary files /dev/null and b/vscode/infclock/.pio/build/env1/FrameworkArduino/core_esp8266_wiring.cpp.o differ diff --git a/vscode/infclock/.pio/build/env1/FrameworkArduino/core_esp8266_wiring_analog.cpp.o b/vscode/infclock/.pio/build/env1/FrameworkArduino/core_esp8266_wiring_analog.cpp.o new file mode 100644 index 0000000..91ced30 Binary files /dev/null and b/vscode/infclock/.pio/build/env1/FrameworkArduino/core_esp8266_wiring_analog.cpp.o differ diff --git a/vscode/infclock/.pio/build/env1/FrameworkArduino/core_esp8266_wiring_digital.cpp.o b/vscode/infclock/.pio/build/env1/FrameworkArduino/core_esp8266_wiring_digital.cpp.o new file mode 100644 index 0000000..ef4c4d0 Binary files /dev/null and b/vscode/infclock/.pio/build/env1/FrameworkArduino/core_esp8266_wiring_digital.cpp.o differ diff --git a/vscode/infclock/.pio/build/env1/FrameworkArduino/core_esp8266_wiring_pulse.cpp.o b/vscode/infclock/.pio/build/env1/FrameworkArduino/core_esp8266_wiring_pulse.cpp.o new file mode 100644 index 0000000..8ec7fbb Binary files /dev/null and b/vscode/infclock/.pio/build/env1/FrameworkArduino/core_esp8266_wiring_pulse.cpp.o differ diff --git a/vscode/infclock/.pio/build/env1/FrameworkArduino/core_esp8266_wiring_pwm.cpp.o b/vscode/infclock/.pio/build/env1/FrameworkArduino/core_esp8266_wiring_pwm.cpp.o new file mode 100644 index 0000000..3d35b6a Binary files /dev/null and b/vscode/infclock/.pio/build/env1/FrameworkArduino/core_esp8266_wiring_pwm.cpp.o differ diff --git a/vscode/infclock/.pio/build/env1/FrameworkArduino/core_esp8266_wiring_shift.cpp.o b/vscode/infclock/.pio/build/env1/FrameworkArduino/core_esp8266_wiring_shift.cpp.o new file mode 100644 index 0000000..8d78105 Binary files /dev/null and b/vscode/infclock/.pio/build/env1/FrameworkArduino/core_esp8266_wiring_shift.cpp.o differ diff --git a/vscode/infclock/.pio/build/env1/FrameworkArduino/crc32.cpp.o b/vscode/infclock/.pio/build/env1/FrameworkArduino/crc32.cpp.o new file mode 100644 index 0000000..626c473 Binary files /dev/null and b/vscode/infclock/.pio/build/env1/FrameworkArduino/crc32.cpp.o differ diff --git a/vscode/infclock/.pio/build/env1/FrameworkArduino/debug.cpp.o b/vscode/infclock/.pio/build/env1/FrameworkArduino/debug.cpp.o new file mode 100644 index 0000000..39c5410 Binary files /dev/null and b/vscode/infclock/.pio/build/env1/FrameworkArduino/debug.cpp.o differ diff --git a/vscode/infclock/.pio/build/env1/FrameworkArduino/flash_hal.cpp.o b/vscode/infclock/.pio/build/env1/FrameworkArduino/flash_hal.cpp.o new file mode 100644 index 0000000..e6350dc Binary files /dev/null and b/vscode/infclock/.pio/build/env1/FrameworkArduino/flash_hal.cpp.o differ diff --git a/vscode/infclock/.pio/build/env1/FrameworkArduino/gdb_hooks.cpp.o b/vscode/infclock/.pio/build/env1/FrameworkArduino/gdb_hooks.cpp.o new file mode 100644 index 0000000..d6f12e9 Binary files /dev/null and b/vscode/infclock/.pio/build/env1/FrameworkArduino/gdb_hooks.cpp.o differ diff --git a/vscode/infclock/.pio/build/env1/FrameworkArduino/heap.cpp.o b/vscode/infclock/.pio/build/env1/FrameworkArduino/heap.cpp.o new file mode 100644 index 0000000..c59affd Binary files /dev/null and b/vscode/infclock/.pio/build/env1/FrameworkArduino/heap.cpp.o differ diff --git a/vscode/infclock/.pio/build/env1/FrameworkArduino/libb64/cdecode.cpp.o b/vscode/infclock/.pio/build/env1/FrameworkArduino/libb64/cdecode.cpp.o new file mode 100644 index 0000000..765b484 Binary files /dev/null and b/vscode/infclock/.pio/build/env1/FrameworkArduino/libb64/cdecode.cpp.o differ diff --git a/vscode/infclock/.pio/build/env1/FrameworkArduino/libb64/cencode.cpp.o b/vscode/infclock/.pio/build/env1/FrameworkArduino/libb64/cencode.cpp.o new file mode 100644 index 0000000..f93e0cb Binary files /dev/null and b/vscode/infclock/.pio/build/env1/FrameworkArduino/libb64/cencode.cpp.o differ diff --git a/vscode/infclock/.pio/build/env1/FrameworkArduino/libc_replacements.cpp.o b/vscode/infclock/.pio/build/env1/FrameworkArduino/libc_replacements.cpp.o new file mode 100644 index 0000000..d165afb Binary files /dev/null and b/vscode/infclock/.pio/build/env1/FrameworkArduino/libc_replacements.cpp.o differ diff --git a/vscode/infclock/.pio/build/env1/FrameworkArduino/sntp-lwip2.cpp.o b/vscode/infclock/.pio/build/env1/FrameworkArduino/sntp-lwip2.cpp.o new file mode 100644 index 0000000..74753f3 Binary files /dev/null and b/vscode/infclock/.pio/build/env1/FrameworkArduino/sntp-lwip2.cpp.o differ diff --git a/vscode/infclock/.pio/build/env1/FrameworkArduino/spiffs/spiffs_cache.cpp.o b/vscode/infclock/.pio/build/env1/FrameworkArduino/spiffs/spiffs_cache.cpp.o new file mode 100644 index 0000000..ba07e9e Binary files /dev/null and b/vscode/infclock/.pio/build/env1/FrameworkArduino/spiffs/spiffs_cache.cpp.o differ diff --git a/vscode/infclock/.pio/build/env1/FrameworkArduino/spiffs/spiffs_check.cpp.o b/vscode/infclock/.pio/build/env1/FrameworkArduino/spiffs/spiffs_check.cpp.o new file mode 100644 index 0000000..d0db17d Binary files /dev/null and b/vscode/infclock/.pio/build/env1/FrameworkArduino/spiffs/spiffs_check.cpp.o differ diff --git a/vscode/infclock/.pio/build/env1/FrameworkArduino/spiffs/spiffs_gc.cpp.o b/vscode/infclock/.pio/build/env1/FrameworkArduino/spiffs/spiffs_gc.cpp.o new file mode 100644 index 0000000..ee46289 Binary files /dev/null and b/vscode/infclock/.pio/build/env1/FrameworkArduino/spiffs/spiffs_gc.cpp.o differ diff --git a/vscode/infclock/.pio/build/env1/FrameworkArduino/spiffs/spiffs_hydrogen.cpp.o b/vscode/infclock/.pio/build/env1/FrameworkArduino/spiffs/spiffs_hydrogen.cpp.o new file mode 100644 index 0000000..5b06d76 Binary files /dev/null and b/vscode/infclock/.pio/build/env1/FrameworkArduino/spiffs/spiffs_hydrogen.cpp.o differ diff --git a/vscode/infclock/.pio/build/env1/FrameworkArduino/spiffs/spiffs_nucleus.cpp.o b/vscode/infclock/.pio/build/env1/FrameworkArduino/spiffs/spiffs_nucleus.cpp.o new file mode 100644 index 0000000..758e898 Binary files /dev/null and b/vscode/infclock/.pio/build/env1/FrameworkArduino/spiffs/spiffs_nucleus.cpp.o differ diff --git a/vscode/infclock/.pio/build/env1/FrameworkArduino/spiffs_api.cpp.o b/vscode/infclock/.pio/build/env1/FrameworkArduino/spiffs_api.cpp.o new file mode 100644 index 0000000..38ad1e2 Binary files /dev/null and b/vscode/infclock/.pio/build/env1/FrameworkArduino/spiffs_api.cpp.o differ diff --git a/vscode/infclock/.pio/build/env1/FrameworkArduino/sqrt32.cpp.o b/vscode/infclock/.pio/build/env1/FrameworkArduino/sqrt32.cpp.o new file mode 100644 index 0000000..45ccfd5 Binary files /dev/null and b/vscode/infclock/.pio/build/env1/FrameworkArduino/sqrt32.cpp.o differ diff --git a/vscode/infclock/.pio/build/env1/FrameworkArduino/time.cpp.o b/vscode/infclock/.pio/build/env1/FrameworkArduino/time.cpp.o new file mode 100644 index 0000000..5de9ea9 Binary files /dev/null and b/vscode/infclock/.pio/build/env1/FrameworkArduino/time.cpp.o differ diff --git a/vscode/infclock/.pio/build/env1/FrameworkArduino/uart.cpp.o b/vscode/infclock/.pio/build/env1/FrameworkArduino/uart.cpp.o new file mode 100644 index 0000000..c6fc5ac Binary files /dev/null and b/vscode/infclock/.pio/build/env1/FrameworkArduino/uart.cpp.o differ diff --git a/vscode/infclock/.pio/build/env1/FrameworkArduino/umm_malloc/umm_info.c.o b/vscode/infclock/.pio/build/env1/FrameworkArduino/umm_malloc/umm_info.c.o new file mode 100644 index 0000000..420cdb2 Binary files /dev/null and b/vscode/infclock/.pio/build/env1/FrameworkArduino/umm_malloc/umm_info.c.o differ diff --git a/vscode/infclock/.pio/build/env1/FrameworkArduino/umm_malloc/umm_integrity.c.o b/vscode/infclock/.pio/build/env1/FrameworkArduino/umm_malloc/umm_integrity.c.o new file mode 100644 index 0000000..1b57698 Binary files /dev/null and b/vscode/infclock/.pio/build/env1/FrameworkArduino/umm_malloc/umm_integrity.c.o differ diff --git a/vscode/infclock/.pio/build/env1/FrameworkArduino/umm_malloc/umm_local.c.o b/vscode/infclock/.pio/build/env1/FrameworkArduino/umm_malloc/umm_local.c.o new file mode 100644 index 0000000..502069e Binary files /dev/null and b/vscode/infclock/.pio/build/env1/FrameworkArduino/umm_malloc/umm_local.c.o differ diff --git a/vscode/infclock/.pio/build/env1/FrameworkArduino/umm_malloc/umm_malloc.cpp.o b/vscode/infclock/.pio/build/env1/FrameworkArduino/umm_malloc/umm_malloc.cpp.o new file mode 100644 index 0000000..c5386ce Binary files /dev/null and b/vscode/infclock/.pio/build/env1/FrameworkArduino/umm_malloc/umm_malloc.cpp.o differ diff --git a/vscode/infclock/.pio/build/env1/FrameworkArduino/umm_malloc/umm_poison.c.o b/vscode/infclock/.pio/build/env1/FrameworkArduino/umm_malloc/umm_poison.c.o new file mode 100644 index 0000000..008e449 Binary files /dev/null and b/vscode/infclock/.pio/build/env1/FrameworkArduino/umm_malloc/umm_poison.c.o differ diff --git a/vscode/infclock/.pio/build/env1/firmware.bin b/vscode/infclock/.pio/build/env1/firmware.bin new file mode 100644 index 0000000..449ed25 Binary files /dev/null and b/vscode/infclock/.pio/build/env1/firmware.bin differ diff --git a/vscode/infclock/.pio/build/env1/firmware.elf b/vscode/infclock/.pio/build/env1/firmware.elf new file mode 100644 index 0000000..5859320 Binary files /dev/null and b/vscode/infclock/.pio/build/env1/firmware.elf differ diff --git a/vscode/infclock/.pio/build/env1/ld/local.eagle.app.v6.common.ld b/vscode/infclock/.pio/build/env1/ld/local.eagle.app.v6.common.ld new file mode 100644 index 0000000..7e3f60f --- /dev/null +++ b/vscode/infclock/.pio/build/env1/ld/local.eagle.app.v6.common.ld @@ -0,0 +1,265 @@ +/* This linker script generated from xt-genldscripts.tpp for LSP . */ +/* Linker Script for ld -N */ +PHDRS +{ + dport0_0_phdr PT_LOAD; + dram0_0_phdr PT_LOAD; + dram0_0_bss_phdr PT_LOAD; + iram1_0_phdr PT_LOAD; + irom0_0_phdr PT_LOAD; +} +/* Default entry point: */ +ENTRY(app_entry) +EXTERN(_DebugExceptionVector) +EXTERN(_DoubleExceptionVector) +EXTERN(_KernelExceptionVector) +EXTERN(_NMIExceptionVector) +EXTERN(_UserExceptionVector) +EXTERN(core_version) +PROVIDE(_memmap_vecbase_reset = 0x40000000); +/* Various memory-map dependent cache attribute settings: */ +_memmap_cacheattr_wb_base = 0x00000110; +_memmap_cacheattr_wt_base = 0x00000110; +_memmap_cacheattr_bp_base = 0x00000220; +_memmap_cacheattr_unused_mask = 0xFFFFF00F; +_memmap_cacheattr_wb_trapnull = 0x2222211F; +_memmap_cacheattr_wba_trapnull = 0x2222211F; +_memmap_cacheattr_wbna_trapnull = 0x2222211F; +_memmap_cacheattr_wt_trapnull = 0x2222211F; +_memmap_cacheattr_bp_trapnull = 0x2222222F; +_memmap_cacheattr_wb_strict = 0xFFFFF11F; +_memmap_cacheattr_wt_strict = 0xFFFFF11F; +_memmap_cacheattr_bp_strict = 0xFFFFF22F; +_memmap_cacheattr_wb_allvalid = 0x22222112; +_memmap_cacheattr_wt_allvalid = 0x22222112; +_memmap_cacheattr_bp_allvalid = 0x22222222; +PROVIDE(_memmap_cacheattr_reset = _memmap_cacheattr_wb_trapnull); +SECTIONS +{ + .dport0.rodata : ALIGN(4) + { + _dport0_rodata_start = ABSOLUTE(.); + *(.dport0.rodata) + *(.dport.rodata) + _dport0_rodata_end = ABSOLUTE(.); + } >dport0_0_seg :dport0_0_phdr + .dport0.literal : ALIGN(4) + { + _dport0_literal_start = ABSOLUTE(.); + *(.dport0.literal) + *(.dport.literal) + _dport0_literal_end = ABSOLUTE(.); + } >dport0_0_seg :dport0_0_phdr + .dport0.data : ALIGN(4) + { + _dport0_data_start = ABSOLUTE(.); + *(.dport0.data) + *(.dport.data) + _dport0_data_end = ABSOLUTE(.); + } >dport0_0_seg :dport0_0_phdr + .data : ALIGN(4) + { + _data_start = ABSOLUTE(.); + *(.data) + *(.data.*) + *(.gnu.linkonce.d.*) + *(.data1) + *(.sdata) + *(.sdata.*) + *(.gnu.linkonce.s.*) + *(.sdata2) + *(.sdata2.*) + *(.gnu.linkonce.s2.*) + *(.jcr) + . = ALIGN(4); + _Pri_3_HandlerAddress = ABSOLUTE(.); + _data_end = ABSOLUTE(.); + } >dram0_0_seg :dram0_0_phdr + .noinit : ALIGN(4) + { + *(.noinit) + } >dram0_0_seg :dram0_0_phdr + /* IRAM is split into .text and .text1 to allow for moving specific */ + /* functions into IRAM that would be matched by the irom0.text matcher */ + .text : ALIGN(4) + { + _stext = .; + _text_start = ABSOLUTE(.); + *(.UserEnter.text) + . = ALIGN(16); + *(.DebugExceptionVector.text) + . = ALIGN(16); + *(.NMIExceptionVector.text) + . = ALIGN(16); + *(.KernelExceptionVector.text) + LONG(0) + LONG(0) + LONG(0) + LONG(0) + . = ALIGN(16); + *(.UserExceptionVector.text) + LONG(0) + LONG(0) + LONG(0) + LONG(0) + . = ALIGN(16); + *(.DoubleExceptionVector.text) + LONG(0) + LONG(0) + LONG(0) + LONG(0) + . = ALIGN (16); + *(.entry.text) + *(.init.literal) + *(.init) + *(.text.app_entry*) /* The main startup code */ + *(.text.gdbstub*, .text.gdb_init) /* Any GDB hooks */ + /* all functional callers are placed in IRAM (including SPI/IRQ callbacks/etc) here */ + *(.text._ZNKSt8functionIF*EE*) /* std::function::operator()() const */ + } >iram1_0_seg :iram1_0_phdr + .irom0.text : ALIGN(4) + { + _irom0_text_start = ABSOLUTE(.); + *(.ver_number) + *.c.o(.literal*, .text*) + *.cpp.o(EXCLUDE_FILE (umm_malloc.cpp.o) .literal*, EXCLUDE_FILE (umm_malloc.cpp.o) .text*) + *.cc.o(.literal*, .text*) + *(.rodata._ZTV*) /* C++ vtables */ + *libgcc.a:unwind-dw2.o(.literal .text .rodata .literal.* .text.* .rodata.*) + *libgcc.a:unwind-dw2-fde.o(.literal .text .rodata .literal.* .text.* .rodata.*) + *libc.a:(.literal .text .literal.* .text.*) + *libm.a:(.literal .text .literal.* .text.*) + *libgcc.a:_umoddi3.o(.literal .text) + *libgcc.a:_udivdi3.o(.literal .text) + *libstdc++.a:( .literal .text .literal.* .text.*) + *libstdc++-exc.a:( .literal .text .literal.* .text.*) + *libsmartconfig.a:(.literal .text .literal.* .text.*) + *liblwip_gcc.a:(.literal .text .literal.* .text.*) + *liblwip_src.a:(.literal .text .literal.* .text.*) + *liblwip2-536.a:(.literal .text .literal.* .text.*) + *liblwip2-1460.a:(.literal .text .literal.* .text.*) + *liblwip2-536-feat.a:(.literal .text .literal.* .text.*) + *liblwip2-1460-feat.a:(.literal .text .literal.* .text.*) + *liblwip6-536-feat.a:(.literal .text .literal.* .text.*) + *liblwip6-1460-feat.a:(.literal .text .literal.* .text.*) + *libbearssl.a:(.literal .text .literal.* .text.*) + *libaxtls.a:(.literal .text .literal.* .text.*) + *libat.a:(.literal.* .text.*) + *libcrypto.a:(.literal.* .text.*) + *libespnow.a:(.literal.* .text.*) + *libjson.a:(.literal.* .text.*) + *liblwip.a:(.literal.* .text.*) + *libmesh.a:(.literal.* .text.*) + *libnet80211.a:(.literal.* .text.*) + *libsmartconfig.a:(.literal.* .text.*) + *libssl.a:(.literal.* .text.*) + *libupgrade.a:(.literal.* .text.*) + *libwpa.a:(.literal.* .text.*) + *libwpa2.a:(.literal.* .text.*) + *libwps.a:(.literal.* .text.*) + *(.irom0.literal .irom.literal .irom.text.literal .irom0.text .irom0.text.* .irom.text .irom.text.*) + /* Constant strings in flash (PSTRs) */ + *(.irom0.pstr.*) + /* __FUNCTION__ locals */ + *(.rodata._ZZ*__FUNCTION__) + *(.rodata._ZZ*__PRETTY_FUNCTION__) + *(.rodata._ZZ*__func__) + /* std::* exception strings, in their own section to allow string coalescing */ + *(.irom.exceptiontext) + /* c++ typeof IDs, etc. */ + *(.rodata._ZTIN* .rodata._ZTSN10* .rodata._ZTISt* .rodata._ZTSSt*) + /* Fundamental type info */ + *(.rodata._ZTIPKc .rodata._ZTIc .rodata._ZTIv .rodata._ZTSv .rodata._ZTSc .rodata._ZTSPKc .rodata._ZTSi .rodata._ZTIi) + . = ALIGN(4); + *(.gcc_except_table .gcc_except_table.*) + . = ALIGN(4); + __eh_frame = ABSOLUTE(.); + KEEP(*(.eh_frame)) + . = (. + 7) & ~ 3; /* Add a 0 entry to terminate the list */ + _irom0_text_end = ABSOLUTE(.); + _flash_code_end = ABSOLUTE(.); + } >irom0_0_seg :irom0_0_phdr + .text1 : ALIGN(4) + { + *(.literal .text .iram.literal .iram.text .iram.text.* .literal.* .text.* .stub .gnu.warning .gnu.linkonce.literal.* .gnu.linkonce.t.*.literal .gnu.linkonce.t.*) + *(.fini.literal) + *(.fini) + *(.gnu.version) + _text_end = ABSOLUTE(.); + _etext = .; + } >iram1_0_seg :iram1_0_phdr + .rodata : ALIGN(4) + { + _rodata_start = ABSOLUTE(.); + *(.sdk.version) + *(.rodata) + *(.rodata.*) + *(.gnu.linkonce.r.*) + *(.rodata1) + __XT_EXCEPTION_TABLE__ = ABSOLUTE(.); + *(.xt_except_table) + *(.gcc_except_table) + *(.gnu.linkonce.e.*) + *(.gnu.version_r) + *(.eh_frame) + . = (. + 3) & ~ 3; + /* C++ constructor and destructor tables, properly ordered: */ + __init_array_start = ABSOLUTE(.); + KEEP (*crtbegin.o(.ctors)) + KEEP (*(EXCLUDE_FILE (*crtend.o) .ctors)) + KEEP (*(SORT(.ctors.*))) + KEEP (*(.ctors)) + __init_array_end = ABSOLUTE(.); + KEEP (*crtbegin.o(.dtors)) + KEEP (*(EXCLUDE_FILE (*crtend.o) .dtors)) + KEEP (*(SORT(.dtors.*))) + KEEP (*(.dtors)) + /* C++ exception handlers table: */ + __XT_EXCEPTION_DESCS__ = ABSOLUTE(.); + *(.xt_except_desc) + *(.gnu.linkonce.h.*) + __XT_EXCEPTION_DESCS_END__ = ABSOLUTE(.); + *(.xt_except_desc_end) + *(.dynamic) + *(.gnu.version_d) + . = ALIGN(4); /* this table MUST be 4-byte aligned */ + _bss_table_start = ABSOLUTE(.); + LONG(_bss_start) + LONG(_bss_end) + _bss_table_end = ABSOLUTE(.); + _rodata_end = ABSOLUTE(.); + } >dram0_0_seg :dram0_0_phdr + .bss ALIGN(8) (NOLOAD) : ALIGN(4) + { + . = ALIGN (8); + _bss_start = ABSOLUTE(.); + *(.dynsbss) + *(.sbss) + *(.sbss.*) + *(.gnu.linkonce.sb.*) + *(.scommon) + *(.sbss2) + *(.sbss2.*) + *(.gnu.linkonce.sb2.*) + *(.dynbss) + *(.bss) + *(.bss.*) + *(.gnu.linkonce.b.*) + *(COMMON) + . = ALIGN (8); + _bss_end = ABSOLUTE(.); + _heap_start = ABSOLUTE(.); +/* _stack_sentry = ALIGN(0x8); */ + } >dram0_0_seg :dram0_0_bss_phdr +/* __stack = 0x3ffc8000; */ + .lit4 : ALIGN(4) + { + _lit4_start = ABSOLUTE(.); + *(*.lit4) + *(.lit4.*) + *(.gnu.linkonce.lit4.*) + _lit4_end = ABSOLUTE(.); + } >iram1_0_seg :iram1_0_phdr +} +/* get ROM code address */ +INCLUDE "../ld/eagle.rom.addr.v6.ld" diff --git a/vscode/infclock/.pio/build/env1/lib283/SPI/SPI.cpp.o b/vscode/infclock/.pio/build/env1/lib283/SPI/SPI.cpp.o new file mode 100644 index 0000000..136946c Binary files /dev/null and b/vscode/infclock/.pio/build/env1/lib283/SPI/SPI.cpp.o differ diff --git a/vscode/infclock/.pio/build/env1/lib283/libSPI.a b/vscode/infclock/.pio/build/env1/lib283/libSPI.a new file mode 100644 index 0000000..37cb9f2 Binary files /dev/null and b/vscode/infclock/.pio/build/env1/lib283/libSPI.a differ diff --git a/vscode/infclock/.pio/build/env1/lib666/SoftwareSerial/SoftwareSerial.cpp.o b/vscode/infclock/.pio/build/env1/lib666/SoftwareSerial/SoftwareSerial.cpp.o new file mode 100644 index 0000000..d9f16d2 Binary files /dev/null and b/vscode/infclock/.pio/build/env1/lib666/SoftwareSerial/SoftwareSerial.cpp.o differ diff --git a/vscode/infclock/.pio/build/env1/lib666/libSoftwareSerial.a b/vscode/infclock/.pio/build/env1/lib666/libSoftwareSerial.a new file mode 100644 index 0000000..c7b3ad4 Binary files /dev/null and b/vscode/infclock/.pio/build/env1/lib666/libSoftwareSerial.a differ diff --git a/vscode/infclock/.pio/build/env1/lib76b/FastLED_ID126/FastLED.cpp.o b/vscode/infclock/.pio/build/env1/lib76b/FastLED_ID126/FastLED.cpp.o new file mode 100644 index 0000000..601f3e8 Binary files /dev/null and b/vscode/infclock/.pio/build/env1/lib76b/FastLED_ID126/FastLED.cpp.o differ diff --git a/vscode/infclock/.pio/build/env1/lib76b/FastLED_ID126/bitswap.cpp.o b/vscode/infclock/.pio/build/env1/lib76b/FastLED_ID126/bitswap.cpp.o new file mode 100644 index 0000000..4e195cc Binary files /dev/null and b/vscode/infclock/.pio/build/env1/lib76b/FastLED_ID126/bitswap.cpp.o differ diff --git a/vscode/infclock/.pio/build/env1/lib76b/FastLED_ID126/colorpalettes.cpp.o b/vscode/infclock/.pio/build/env1/lib76b/FastLED_ID126/colorpalettes.cpp.o new file mode 100644 index 0000000..4141007 Binary files /dev/null and b/vscode/infclock/.pio/build/env1/lib76b/FastLED_ID126/colorpalettes.cpp.o differ diff --git a/vscode/infclock/.pio/build/env1/lib76b/FastLED_ID126/colorutils.cpp.o b/vscode/infclock/.pio/build/env1/lib76b/FastLED_ID126/colorutils.cpp.o new file mode 100644 index 0000000..c5d0357 Binary files /dev/null and b/vscode/infclock/.pio/build/env1/lib76b/FastLED_ID126/colorutils.cpp.o differ diff --git a/vscode/infclock/.pio/build/env1/lib76b/FastLED_ID126/hsv2rgb.cpp.o b/vscode/infclock/.pio/build/env1/lib76b/FastLED_ID126/hsv2rgb.cpp.o new file mode 100644 index 0000000..e85b687 Binary files /dev/null and b/vscode/infclock/.pio/build/env1/lib76b/FastLED_ID126/hsv2rgb.cpp.o differ diff --git a/vscode/infclock/.pio/build/env1/lib76b/FastLED_ID126/lib8tion.cpp.o b/vscode/infclock/.pio/build/env1/lib76b/FastLED_ID126/lib8tion.cpp.o new file mode 100644 index 0000000..40ef1fa Binary files /dev/null and b/vscode/infclock/.pio/build/env1/lib76b/FastLED_ID126/lib8tion.cpp.o differ diff --git a/vscode/infclock/.pio/build/env1/lib76b/FastLED_ID126/noise.cpp.o b/vscode/infclock/.pio/build/env1/lib76b/FastLED_ID126/noise.cpp.o new file mode 100644 index 0000000..576fc40 Binary files /dev/null and b/vscode/infclock/.pio/build/env1/lib76b/FastLED_ID126/noise.cpp.o differ diff --git a/vscode/infclock/.pio/build/env1/lib76b/FastLED_ID126/platforms.cpp.o b/vscode/infclock/.pio/build/env1/lib76b/FastLED_ID126/platforms.cpp.o new file mode 100644 index 0000000..9418cd5 Binary files /dev/null and b/vscode/infclock/.pio/build/env1/lib76b/FastLED_ID126/platforms.cpp.o differ diff --git a/vscode/infclock/.pio/build/env1/lib76b/FastLED_ID126/power_mgt.cpp.o b/vscode/infclock/.pio/build/env1/lib76b/FastLED_ID126/power_mgt.cpp.o new file mode 100644 index 0000000..2c0437b Binary files /dev/null and b/vscode/infclock/.pio/build/env1/lib76b/FastLED_ID126/power_mgt.cpp.o differ diff --git a/vscode/infclock/.pio/build/env1/lib76b/FastLED_ID126/wiring.cpp.o b/vscode/infclock/.pio/build/env1/lib76b/FastLED_ID126/wiring.cpp.o new file mode 100644 index 0000000..9be8957 Binary files /dev/null and b/vscode/infclock/.pio/build/env1/lib76b/FastLED_ID126/wiring.cpp.o differ diff --git a/vscode/infclock/.pio/build/env1/lib76b/libFastLED_ID126.a b/vscode/infclock/.pio/build/env1/lib76b/libFastLED_ID126.a new file mode 100644 index 0000000..1076c8a Binary files /dev/null and b/vscode/infclock/.pio/build/env1/lib76b/libFastLED_ID126.a differ diff --git a/vscode/infclock/.pio/build/env1/libFrameworkArduino.a b/vscode/infclock/.pio/build/env1/libFrameworkArduino.a new file mode 100644 index 0000000..03a4d99 Binary files /dev/null and b/vscode/infclock/.pio/build/env1/libFrameworkArduino.a differ diff --git a/vscode/infclock/.pio/build/env1/libFrameworkArduinoVariant.a b/vscode/infclock/.pio/build/env1/libFrameworkArduinoVariant.a new file mode 100644 index 0000000..8b277f0 --- /dev/null +++ b/vscode/infclock/.pio/build/env1/libFrameworkArduinoVariant.a @@ -0,0 +1 @@ +! diff --git a/vscode/infclock/.pio/build/env1/src/clockelements.cpp.o b/vscode/infclock/.pio/build/env1/src/clockelements.cpp.o new file mode 100644 index 0000000..7cce6ef Binary files /dev/null and b/vscode/infclock/.pio/build/env1/src/clockelements.cpp.o differ diff --git a/vscode/infclock/.pio/build/env1/src/main.cpp.o b/vscode/infclock/.pio/build/env1/src/main.cpp.o new file mode 100644 index 0000000..d898dfc Binary files /dev/null and b/vscode/infclock/.pio/build/env1/src/main.cpp.o differ diff --git a/vscode/infclock/.pio/build/project.checksum b/vscode/infclock/.pio/build/project.checksum new file mode 100644 index 0000000..d291b2e --- /dev/null +++ b/vscode/infclock/.pio/build/project.checksum @@ -0,0 +1 @@ +8eef9632a3892fcc0c09db17be5184cc16cc1602 \ No newline at end of file diff --git a/vscode/infclock/.vscode/c_cpp_properties.json b/vscode/infclock/.vscode/c_cpp_properties.json new file mode 100644 index 0000000..dbd0cde --- /dev/null +++ b/vscode/infclock/.vscode/c_cpp_properties.json @@ -0,0 +1,136 @@ +{ + "configurations": [ + { + "name": "!!! WARNING !!! AUTO-GENERATED FILE, PLEASE DO NOT MODIFY IT AND USE https://docs.platformio.org/page/projectconf/section_env_build.html#build-flags" + }, + { + "name": "Win32", + "includePath": [ + "c:/Users/jnoack/Documents/GITs/infinityledclock/vscode/infclock/include", + "c:/Users/jnoack/Documents/GITs/infinityledclock/vscode/infclock/src", + "C:/Users/jnoack/.platformio/lib/FastLED_ID126", + "C:/Users/jnoack/.platformio/packages/framework-arduinoespressif8266/libraries/SoftwareSerial/src", + "C:/Users/jnoack/.platformio/packages/framework-arduinoespressif8266/libraries/SPI", + "C:/Users/jnoack/.platformio/packages/framework-arduinoespressif8266/tools/sdk/include", + "C:/Users/jnoack/.platformio/packages/framework-arduinoespressif8266/tools/sdk/libc/xtensa-lx106-elf/include", + "C:/Users/jnoack/.platformio/packages/framework-arduinoespressif8266/cores/esp8266", + "C:/Users/jnoack/.platformio/packages/framework-arduinoespressif8266/tools/sdk/lwip2/include", + "C:/Users/jnoack/.platformio/packages/framework-arduinoespressif8266/variants/d1_mini", + "C:/Users/jnoack/.platformio/lib/Adafruit NeoPixel_ID28", + "C:/Users/jnoack/.platformio/lib/DS3231_ID1379", + "C:/Users/jnoack/.platformio/lib/ESP32Servo_ID4744/src", + "C:/Users/jnoack/.platformio/lib/RTC_ID274/src", + "C:/Users/jnoack/.platformio/lib/SoftwareWire_ID832", + "C:/Users/jnoack/.platformio/lib/TimerOne_ID131", + "C:/Users/jnoack/.platformio/packages/framework-arduinoespressif8266/libraries/ArduinoOTA", + "C:/Users/jnoack/.platformio/packages/framework-arduinoespressif8266/libraries/DNSServer/src", + "C:/Users/jnoack/.platformio/packages/framework-arduinoespressif8266/libraries/EEPROM", + "C:/Users/jnoack/.platformio/packages/framework-arduinoespressif8266/libraries/ESP8266AVRISP/src", + "C:/Users/jnoack/.platformio/packages/framework-arduinoespressif8266/libraries/ESP8266HTTPClient/src", + "C:/Users/jnoack/.platformio/packages/framework-arduinoespressif8266/libraries/ESP8266HTTPUpdateServer/src", + "C:/Users/jnoack/.platformio/packages/framework-arduinoespressif8266/libraries/ESP8266LLMNR", + "C:/Users/jnoack/.platformio/packages/framework-arduinoespressif8266/libraries/ESP8266NetBIOS", + "C:/Users/jnoack/.platformio/packages/framework-arduinoespressif8266/libraries/ESP8266SSDP", + "C:/Users/jnoack/.platformio/packages/framework-arduinoespressif8266/libraries/ESP8266SdFat/src", + "C:/Users/jnoack/.platformio/packages/framework-arduinoespressif8266/libraries/ESP8266WebServer/src", + "C:/Users/jnoack/.platformio/packages/framework-arduinoespressif8266/libraries/ESP8266WiFi/src", + "C:/Users/jnoack/.platformio/packages/framework-arduinoespressif8266/libraries/ESP8266WiFiMesh/src", + "C:/Users/jnoack/.platformio/packages/framework-arduinoespressif8266/libraries/ESP8266httpUpdate/src", + "C:/Users/jnoack/.platformio/packages/framework-arduinoespressif8266/libraries/ESP8266mDNS/src", + "C:/Users/jnoack/.platformio/packages/framework-arduinoespressif8266/libraries/Ethernet/src", + "C:/Users/jnoack/.platformio/packages/framework-arduinoespressif8266/libraries/GDBStub/src", + "C:/Users/jnoack/.platformio/packages/framework-arduinoespressif8266/libraries/Hash/src", + "C:/Users/jnoack/.platformio/packages/framework-arduinoespressif8266/libraries/LittleFS/src", + "C:/Users/jnoack/.platformio/packages/framework-arduinoespressif8266/libraries/SD/src", + "C:/Users/jnoack/.platformio/packages/framework-arduinoespressif8266/libraries/SDFS/src", + "C:/Users/jnoack/.platformio/packages/framework-arduinoespressif8266/libraries/SPISlave/src", + "C:/Users/jnoack/.platformio/packages/framework-arduinoespressif8266/libraries/Servo/src", + "C:/Users/jnoack/.platformio/packages/framework-arduinoespressif8266/libraries/TFT_Touch_Shield_V2", + "C:/Users/jnoack/.platformio/packages/framework-arduinoespressif8266/libraries/Ticker/src", + "C:/Users/jnoack/.platformio/packages/framework-arduinoespressif8266/libraries/Wire", + "C:/Users/jnoack/.platformio/packages/framework-arduinoespressif8266/libraries/esp8266/src", + "C:/Users/jnoack/.platformio/packages/tool-unity", + "" + ], + "browse": { + "limitSymbolsToIncludedHeaders": true, + "path": [ + "c:/Users/jnoack/Documents/GITs/infinityledclock/vscode/infclock/include", + "c:/Users/jnoack/Documents/GITs/infinityledclock/vscode/infclock/src", + "C:/Users/jnoack/.platformio/lib/FastLED_ID126", + "C:/Users/jnoack/.platformio/packages/framework-arduinoespressif8266/libraries/SoftwareSerial/src", + "C:/Users/jnoack/.platformio/packages/framework-arduinoespressif8266/libraries/SPI", + "C:/Users/jnoack/.platformio/packages/framework-arduinoespressif8266/tools/sdk/include", + "C:/Users/jnoack/.platformio/packages/framework-arduinoespressif8266/tools/sdk/libc/xtensa-lx106-elf/include", + "C:/Users/jnoack/.platformio/packages/framework-arduinoespressif8266/cores/esp8266", + "C:/Users/jnoack/.platformio/packages/framework-arduinoespressif8266/tools/sdk/lwip2/include", + "C:/Users/jnoack/.platformio/packages/framework-arduinoespressif8266/variants/d1_mini", + "C:/Users/jnoack/.platformio/lib/Adafruit NeoPixel_ID28", + "C:/Users/jnoack/.platformio/lib/DS3231_ID1379", + "C:/Users/jnoack/.platformio/lib/ESP32Servo_ID4744/src", + "C:/Users/jnoack/.platformio/lib/RTC_ID274/src", + "C:/Users/jnoack/.platformio/lib/SoftwareWire_ID832", + "C:/Users/jnoack/.platformio/lib/TimerOne_ID131", + "C:/Users/jnoack/.platformio/packages/framework-arduinoespressif8266/libraries/ArduinoOTA", + "C:/Users/jnoack/.platformio/packages/framework-arduinoespressif8266/libraries/DNSServer/src", + "C:/Users/jnoack/.platformio/packages/framework-arduinoespressif8266/libraries/EEPROM", + "C:/Users/jnoack/.platformio/packages/framework-arduinoespressif8266/libraries/ESP8266AVRISP/src", + "C:/Users/jnoack/.platformio/packages/framework-arduinoespressif8266/libraries/ESP8266HTTPClient/src", + "C:/Users/jnoack/.platformio/packages/framework-arduinoespressif8266/libraries/ESP8266HTTPUpdateServer/src", + "C:/Users/jnoack/.platformio/packages/framework-arduinoespressif8266/libraries/ESP8266LLMNR", + "C:/Users/jnoack/.platformio/packages/framework-arduinoespressif8266/libraries/ESP8266NetBIOS", + "C:/Users/jnoack/.platformio/packages/framework-arduinoespressif8266/libraries/ESP8266SSDP", + "C:/Users/jnoack/.platformio/packages/framework-arduinoespressif8266/libraries/ESP8266SdFat/src", + "C:/Users/jnoack/.platformio/packages/framework-arduinoespressif8266/libraries/ESP8266WebServer/src", + "C:/Users/jnoack/.platformio/packages/framework-arduinoespressif8266/libraries/ESP8266WiFi/src", + "C:/Users/jnoack/.platformio/packages/framework-arduinoespressif8266/libraries/ESP8266WiFiMesh/src", + "C:/Users/jnoack/.platformio/packages/framework-arduinoespressif8266/libraries/ESP8266httpUpdate/src", + "C:/Users/jnoack/.platformio/packages/framework-arduinoespressif8266/libraries/ESP8266mDNS/src", + "C:/Users/jnoack/.platformio/packages/framework-arduinoespressif8266/libraries/Ethernet/src", + "C:/Users/jnoack/.platformio/packages/framework-arduinoespressif8266/libraries/GDBStub/src", + "C:/Users/jnoack/.platformio/packages/framework-arduinoespressif8266/libraries/Hash/src", + "C:/Users/jnoack/.platformio/packages/framework-arduinoespressif8266/libraries/LittleFS/src", + "C:/Users/jnoack/.platformio/packages/framework-arduinoespressif8266/libraries/SD/src", + "C:/Users/jnoack/.platformio/packages/framework-arduinoespressif8266/libraries/SDFS/src", + "C:/Users/jnoack/.platformio/packages/framework-arduinoespressif8266/libraries/SPISlave/src", + "C:/Users/jnoack/.platformio/packages/framework-arduinoespressif8266/libraries/Servo/src", + "C:/Users/jnoack/.platformio/packages/framework-arduinoespressif8266/libraries/TFT_Touch_Shield_V2", + "C:/Users/jnoack/.platformio/packages/framework-arduinoespressif8266/libraries/Ticker/src", + "C:/Users/jnoack/.platformio/packages/framework-arduinoespressif8266/libraries/Wire", + "C:/Users/jnoack/.platformio/packages/framework-arduinoespressif8266/libraries/esp8266/src", + "C:/Users/jnoack/.platformio/packages/tool-unity", + "" + ] + }, + "defines": [ + "PLATFORMIO=40201", + "ESP8266", + "ARDUINO_ARCH_ESP8266", + "ARDUINO_ESP8266_WEMOS_D1MINIPRO", + "F_CPU=80000000L", + "__ets__", + "ICACHE_FLASH", + "ARDUINO=10805", + "ARDUINO_BOARD=\"PLATFORMIO_D1_MINI_PRO\"", + "FLASHMODE_DIO", + "LWIP_OPEN_SRC", + "NONOSDK22x_190703=1", + "TCP_MSS=536", + "LWIP_FEATURES=1", + "LWIP_IPV6=0", + "VTABLES_IN_FLASH", + "" + ], + "intelliSenseMode": "clang-x64", + "cStandard": "c99", + "cppStandard": "c++11", + "compilerPath": "C:/Users/jnoack/.platformio/packages/toolchain-xtensa/bin/xtensa-lx106-elf-gcc.exe", + "compilerArgs": [ + "-mlongcalls", + "-mtext-section-literals", + "" + ] + } + ], + "version": 4 +} diff --git a/vscode/infclock/.vscode/launch.json b/vscode/infclock/.vscode/launch.json new file mode 100644 index 0000000..daad92c --- /dev/null +++ b/vscode/infclock/.vscode/launch.json @@ -0,0 +1,32 @@ +// AUTOMATICALLY GENERATED FILE. PLEASE DO NOT MODIFY IT MANUALLY + +// PIO Unified Debugger +// +// Documentation: https://docs.platformio.org/page/plus/debugging.html +// Configuration: https://docs.platformio.org/page/projectconf/section_env_debug.html + +{ + "version": "0.2.0", + "configurations": [ + { + "type": "platformio-debug", + "request": "launch", + "name": "PIO Debug", + "executable": "c:/Users/jnoack/Documents/GITs/infinityledclock/vscode/infclock/.pio/build/env1/firmware.elf", + "toolchainBinDir": "C:/Users/jnoack/.platformio/packages/toolchain-xtensa/bin", + "preLaunchTask": { + "type": "PlatformIO", + "task": "Pre-Debug" + }, + "internalConsoleOptions": "openOnSessionStart" + }, + { + "type": "platformio-debug", + "request": "launch", + "name": "PIO Debug (skip Pre-Debug)", + "executable": "c:/Users/jnoack/Documents/GITs/infinityledclock/vscode/infclock/.pio/build/env1/firmware.elf", + "toolchainBinDir": "C:/Users/jnoack/.platformio/packages/toolchain-xtensa/bin", + "internalConsoleOptions": "openOnSessionStart" + } + ] +} \ No newline at end of file