From afd5d7d8c3a88832f1f3023104d926f7b68f49f4 Mon Sep 17 00:00:00 2001 From: Fenoglio Date: Mon, 20 May 2019 09:02:41 +0200 Subject: [PATCH] added esp32 --- Fritzing/Bauteil/ESP32S-HiLetgo.fzpz | Bin 0 -> 20937 bytes 1 file changed, 0 insertions(+), 0 deletions(-) create mode 100644 Fritzing/Bauteil/ESP32S-HiLetgo.fzpz diff --git a/Fritzing/Bauteil/ESP32S-HiLetgo.fzpz b/Fritzing/Bauteil/ESP32S-HiLetgo.fzpz new file mode 100644 index 0000000000000000000000000000000000000000..f154fef5142f0402d27a778bc6970b15849359fb GIT binary patch literal 20937 zcmagl1#nxL(FW)%rP^w727d0Gcz+gW?$!@nKLuz)w{P! z(%x%z_g1eeRjJkAmXa(u1O^BQ2n+~+$fR86dLjWK3H_1 zC}k~Y?q=x-u<&;3&(c-h<;3{D`GlF#ekLl4M!3&wI%6!Vot6+n`r~{xHjyT`%=DX2 zKz--$8%nMpbLI2ahEfc}3-JQtbprHWf**CTL-2|!s3Dd)Ru#7qS5CN9VvlYQ$Jg68 zZjZ-@*AXod8ehAHc~O^<(>ZDst=x19uyom_dKUTOsc&RauNnrJ8oof4XzqksDk|H) zkvsf2fm^KbOpm#Sy?*8U7MpO*uzSshJRAc9_I}WGYNkzsuH7}bAJK50ZqO!^yd2QE z)%`eatLbPqGBWx2xXnYI>LW}OfJEqh&RW-+@sTvLcr)(h&+)ZZ{xzfK(e1`7OH0E) z>yB<=hr7t;fV0Yn@#JQQBJyhjtiik<@$dMl&7pUl7kt!qj%IZ`S9Nj2SFuOqg(YYQ zI>Hxei5{PZE$Lm+k938x4U>(fhu$*2bEXqi;}x8SJfPZEC#Ew2<_e*j{%)1mFFCQS z;q4}SviN`;zqZbf>=7ZU!lfQ9~sZcqB~h8w{* zVWeQ6O)+!tJ;CQ8h|ey8+j}4On#-AFFzD5aT^gn1XK1|Clk$lUg!a<_vZmQ?*gHBC zcny?%_RnDyvwSIT=>ifa-axTYbD~isIyUf&65MO_i$p8=3#uGl@l5IIBgY-JW)7sA zyIf#JJ~gMfB14Y&T4ly9bEd+O=?}{HvgjsBE$ub3CeAz+jjoG}76>r)I{rGT*c^-#?{Gg;I}~55gJEijJ^s6|&FKL*)axN63Dmc8BPtbMpN92RAAz-h4wvjvKk(2;c3(8Y z9Ai{ja(tQ4{OEyA+G~PYzDMF8uq~0i8;lfoX<^ zL^-NknxUCL1!)A)rW`~0Y!%G}&Ls7{)yTbhE?^t?-@zhW#)u4j1drwxQ&ffPQS*oi zEbUeQxYL*O;30=4J51wd-sbrn)@1mzzplKb=XcOMlF6!@`9GC|e4AALxy=G8R-9`I zCneXNK+A0gM^|ikQSGR0*nR(MGGm>v{E8IEC~7u(XNQZXYcZU{V>a4oCu!4MY4m~_ zXOA6kuQ}Nq)z@r0+cSW>sW!ChZ)c9Q6m^0&1*z_#NlQ4UX_W%GB`&c7m1qN$Y$Jf^5(M_L3+=LtbRz((#%7-U`oX4M zl&@V>tX-6UgA{Eek8&eVv|W_YU`lSpZHWT=5Is`TGg!*Au;hzK{+If~FD{?wMHSkh z)5_A=DB0rBsyc263;97sjJzqqo9^A67}zq@>a0XoILLblzV2UuBJG{|r8Xmb#Z!Ap zP1!ExB}snexpEw~WGgkDVf&|N7tb$y2byAQX$z5fjhOv68gm+Y%BcsDHuISCYihIS z_L?VpkhC*vK$>y}PR2EdC z2}+K#>qL=xM+BGo92@5h+i01ow#jg7#xG#OuWV2A4RcL+FY36XYpVlf^jkpHAF$L7 z_{I&}(!;1nJ20gd%k&4!Jo~Bojl6xgs1yUYrNDH6Hf7*2~=5sZ6Q`evd&o1oQY1ExcVf@;Um5PPVs^XR8@a% zBUZ!wXVZd-P1Sv;-J?;OOcZVsR9;xv!eSkY&(Ie#^v-DN}33=`YgKc{d(o}#hVrPIR*U~8e=4tI4QLz z%{`Go3=KK@N}>IqquO6xf`+hX)mefnOth*amw(Y6%&4 zU()`lX<_DhaqUOi4FBSoWHDEMw*}(z`)yHj^@n13Ds}ggEw;a6yT?6p#{jiIn9~1R zP{bVH1PtMWfGDhifY5&~C|o@(0VXcy#%3mt#x7?6dud_#KN>#!t?TR7?6$`HA^-Tu zz5i_oq8rx#1$rH}*s&W#+JZB6{36kL;QW|#;1Dc_ZI=J`w56Ozxt?*ko^m<+PB^NW zK^;@DKC&Mz%KJKO()0A}aR0#i-s!^y447s%`qJO~GXEBqn&|)fwmzo#e)Y2Xe$emV z{`7Fa`El9SvOGIUTz~m~eWJM8K0(!xndx7?$i?OO{!aC>`tiyVMBw1C@ot_VFCZW& z=;!Wwdpuk6nm9ttN$l|63iN$#)!|#;3gL1i-Ea%)1G)J#c}YEH$~kJ_n9?$doLN)9 zh@83qJZ{+eZu!v3nK^#sX%e%uA=qJ;%M^kl<|vZtbARX6ru93E&ml!|bbNAD`oa4C z{fS(|$z5<*0H8@MAfRd=1WR(Ph6tKwrbz5aoZ2vc^Zw@2E99dku*R6fRnhO6xo;w_ zsZf8oe|TuRSb55_P(Gsi6I z{>1rk&C&n%*5>JWzV|Q{Jw8H?Cx?ge$_-+#V)AtUEl$2x2xdFfpEA4wo49`dB{fkq z{O0A7*ntbdC#6GZ*l}}HVDqL1fuD$|^D7(ntNBC8s$ojzuzWkt>7TK{&aBrGKT&^J zLyXFvMNq%x+##dd*O1XbF$`0pEXdRBd*x{RnVKi!=EKp5Mk!EO8oJS9c1&tYxSn&2 z##340=ieA&N7A5yN3z|*hHw%OMY(E*aJk=9Us?oy`;N#qx^{g zeaZ#nPw85;<^3wWuTxfWohp1Nk$!sZN!2ol6$M1g;!zALnjy+!>#){~k6Y|24N0bcFggZ5L zvs`!1Y^6OKT01d38QMC@z1FaEQ@v~A5uksZBE&|#B|{DNeaHlv4u9wbneO-({T(o| zmA3RbK<;&th@eVygcC9LisS8iY)*|$#oBfn1FkBGL$~H4wZG~Q@}JKS&RzT0M`!J* z!9Mf`6``{ljjhTTw)QM121wa0bTnG<@xlF3hMzWpOjn(elO=H#hx-6*2-y=5J$QUk zLJ~W5d`4SlZCq1P-q29Da$PY*LB0(Ee}<>dZ+{dZE3v?yEUoQ}2`YunG2mU75d<0f z+^Fi-i`il}pJ++~1!Q=S?imdDT{}~*Z{tkgGG*Ot#|4;slPFHXRw*+e6My-u$P?g;qO|XAzk#|L>5b#-p~=s!8En! z`j|89EO;usSVtpwD|M68M6Fc^ca?PJr@1NGc!|Ph>SG7<8mo4lX{t`W2xMOVrg~xr zNSBwcxtHoV0L#nQ+(UnlD?|jbIIArw@8wSgY94t%!i4>o!cgOef{7TX7t;v-4F+L98Z5PVoFp>nm#^U0WlE$phPb*R6_%U@Pib*cLRyj zJPPD+!PM^HKl08HFk%y)rprJ|5o7jIH{ucb#W=DK%Q^E+@!S>*A)agxu@0nff!!d3>R?$uS^qZFw z9OIaJ1`7|FZ27^^sB`F_U%-URP0LF0jqt56V(08J*4GP_5RG}_UySPF5`Uwyb{}*b zC3-;u-QPVE>mwVHqINArFi5H42G2E~!@^z;mrKYV@7{W!eVtFN?@!S#uZPA(oiD;x z+vf3TeLJ+*tEoHvUs^5=)=*OUW4$S28!AJL>+UR^LO)(lTQ=W;=_TsSDwN5Uu9e=R zx;cpKCCs)lGNsEj&X-`4l9C;2dHFkwU8z4VAR1YWWnv?>Ys+R;ISB#~vPjNJZ>f(c z*25ltHl;B3M8jfZqp`4Z^JZjQ@h{=jG3L9fw^WZB4#@K;kSjH`>jvck7=d_!(GB@Q zvSOxu{qgKx9s`;aM=E^6rZaPxm^<)KzjUJauZ`bEKkL#5Atu)NGpV>lfyUS&syj#t zCnhRFC+hs0hJR;x;TH`d#$s~O1fH$FBZrb#U1AhtX8O6-^dscVutQD(?b;gx<6!~6 z!2RgY#`Sm&DzcJXI@qtgogr(S+4Z9oy!lq3c@+sqQ%}uUOBbHM7QhhI@mfJw1d-Q4 z7%`Eo@UtrBJx>K~)GT;{hXF+8sXL?t&FfPS#L#SWD!;TXs@8NI2Ux}}LQwWJt|fVw zCCbV@Q@3Z1^dRf4W)5_g;gM2Ra+Sw}QJ_Q`0vuB|ahdZuH%8aUNb%hOm2J7Nmj;~< z_!p9RunkuS^vgZ7ZO&eP>nhUl9t5EZ6FS^B92uJ)T{X@g6wUSy^5@Pc6Ktyq#}~6| zWfc4ZRaL;01$Ei;Q$^LNurfLUIrU++q$WuGm+HF`&4mMi*R0-hr^CE^-3r6Pe$esd zVoMY;^>QGVF8M6vu(*83SDtHms&;f5C~8nNJ(dVm49cFbxLbA)00kLmsxeiOtV$sU ziYZ0i&audxs}JUc@4do8Q|tt3kZnnQnS+8MNcnqDiE5U&vdD|OKNQeKv!e4l+C__T z6|MOFv(k4O^RKPtXGMAy&z;ahk*JjN} zLV~ff5KK(M5b6ZW0e_S%WOw3adM+k$*MuOz%><5$_&qyc zJNd^^9U6njC2h|w3GJ7sokP|0Jg`>-O0xQFRDpTP6m44e*9k#atkv*L9575W5IhsI z$U%83`f2ILX*|;*>4d@Q7{B4!xDeRv+n8^AnF~;Fxf_^a*!sbrlr2a?w=H;&*%3^I z)Q$@0nWJH+%M%THnI**96e1CO(@=x#emUU}#Nw<=HyPuIn)pz!hZ-}${!+_sMKqPE zJTG4FT|%SwIQ#g0`km>< zW0ySJW&I|57L^nQSC1*7SN&=;#CH0{I~^K){eZEnKo>~5T*O#9vST>RTN{(4-j?N)7U?L-B>c2_Rn&BaOD@V>ukjqPFi}IQ zx|rBdab%2q`mRXXtO2#+vMgieS><^bH?+E_dOGBoAoqR35gl)%gOf^_??I1r(Jx=&ls0-OTWt-ARE%NC8KU+<3xE*ez(!f~F% zu36_ zO7thGl^Rc#vpnyI18+la`g>h$r6skLhKS32al39u}|7$aJ!%vd!=mS%dQ zvK}7T1@^kxzc_C@#X#*axq@r%#i65aR}XFeS}V7`!R863zu{u_Jn%kq_AAnXdUTTZ zO=3@HJ+XP#y6%h>_+0tU{9W(fU*Hn+8+;d1<*LKu5z6NFNxZNNbZFH=)*qS_T+E^ znq;sLzjxV!Fxfw2)O!Umd9Y*hwq)3u3{_RwTUDIKOub8qb|^c}XRf@?XOvz4P%r9L zAtP2zN||gyWx%T?3!62NaTjsX5OYyO18S|Tc*c)teBEYj5As~Sk?3)UA{*9UC}8WB zLCd6|0HXvU98A@8H0bz-7=w7`3IgA*UzKOUA%Z_bT+PAk!CE!~6}l!J!D(Qmt?Htk zsSd_o0c={L#+!fDqsXQrRaNFqA`m+BcFxJy8^=wjt>F70U-1CT8u!JcIWb_ z!X%D*&zTyTDcCX{t5x&s0T(G~6;3$hvF4?$&q5f>7l26)I8k)+8p&4ag;7u;vZIHJ zwWXmC@1!m(5-wV-JOKOiHidm@EDp44wkhe0dLnA=Ihu$n3bD`GYc`akaWo5<84Tvj zxYX~Znk&a}0_%FyW7tAqfST{J4W*jr9LX3ZcNtVsHr+bwjqz_^Ze>a}r+uEq++2oeE=g&--C$p|OMjZdNNT3vR^!mzt5v&QSU@De+r0Tv zdLuAE+5otENOWfN>X+P@oSFI=^Z4SX(Lfu9pU?m?={Q8*a!E(~{Xs^iChoW2T-i^X z6|wE4SR%t|=l8dWkMzit$DFU7cP}?NGhOA~3fcMPS-^Q&O<6c>Wex3%G`7lS8G;vR z<;8lQ$@#x8%37F#{I70pS!2$P)dZdN(7Eq;8A??;yu9d%CgmZMX3{-yN;gKWg7A71$dKnas45s`FnJe>@^mKY)PWg)CacR4= z%JS>S2w`c&wHf?qzI<9}BxL&Smf&M(Gz%{DI zkCt;9_WCfH@8Iwc*F?~-`2xml-cyd?;-Nd#O4_gMgPXoX*{M`)xvq@1EcxC}0aZsYtrd0TB)b4 zs6U^Y+WP9jZ^}0wLp+zUzZTY*M+XH|baXd7pe(r6gz%P8msS0q`)zYKhX<{wx4$1y-xMnr$<8yxqA zooyCY<>;euvZIdGCR@77{;VwT3~ji~RK58PY;y2L_^3u7p-7e4K*%tkS_mJk^D?aS zd}ymgUrS42tTtlf1R-*>yQYou?w2xoiE5a(}b z)aaP*1=X~cw9%)*S&kBba@jJn*IyjE<$?LwePZg|xWt>%rBfSO9xqL>A#!Ck*$hfY z7DN4{X(v!X>D)85yxf9yj&Bn~)w^bJgS6VFcqd{KAu8#&WnGEulyaR4_4{wIj{Bub5c#Vu`xCoq@;%!yvEeX) zSCzzC&R1XjkTSK(nKV8BtWz|ie)Xx+h=@7ph2^;8x5dGO=E?A&*-+DS5!lj@y$Pp} zq!12Y4%|_2;@l`ZA^t^+6yA_TtR4zaoZLw>2ActXY^s<=3$hNz-Z_(?DkdvOnm*6% zk{aq>JP{1U68{q2zz1(Rx7b64+GU=n*scBZ`|Wo400D0w`+_MQ^e=R1aGN2$fL78Zw|h&OA-#)*5q3F21j`mRk5-6(Zr3Ov#fl9he(g|~4ie1n zwEJ!bh?~f?VL=1=bBRHbF3U}-5Lms^X_jjSSd|d}vkxhIpptEh<+a2@K(`F2!C*@t zJ&+WMe!|A$N*`TcHlrS*RsL10xw>D}L+x5p1e3%y(~7XNlM_MTf!Ox>(KENM}zAFd^& zvkM13!6~l<%Ua!<7q$k-s}!JC>Qn$_g;=l=L_R982?7yafpWg^c)@7p4#Z*mqxnz{ zu=N#`;Hhq+L`6(|(g0pf0ia}Vg^x18a$-#u#}U1uHCpvxxuqpX;U4CuWgu1xsu+8(zsCk%EpU}& zB1FbJ3oPESf8k`7Xsm)?sb^!(@3;m8#6JM%2JxKrqS=drh-`}`qPvKii}(jc=UBG+ zI8AbekLh-e(Yg;NvI}gjD$a)mz<&vs%RF#VN=a~A6P~Y?s;_KHoMfrYNQ;yT+8slx z40S^zO$;U(6xlmTQYtOUJrhLR=|1cFj?stB*@XD{F8Y6~4ZUZnk?1<#+@vw&2Ii1c zXr!D*uSn&s#s$j8V+o&$;?i%r??w!bs>TT||6AocjTO~NC zBjXl5d9+_@&}0CJ-Uft{NnM!KtMz^d-MUO*MisF~DA7`vK&y!l$>eh+1y1sEV#b;Z|Sg#IU4dQeAR<8dv;e1*SJ3p~@ zyM;ekGz8w6TYem~>-eGKzwb3H^D7}0@5dt7Cg-)x@z0`i%FDbiAPXMz}7JV#46DdwL-UdZ7ov zqYS(Xv5AnQ!^kZEpm~vy_lV|ci}THGBd+moDgSo#w&EKL$znF^^V0I@EjT|dTw#(oT)ZM&g;@Mv%f++?bg6u@i*Va=oURsl0+z*4L zM_i71O`fK5dVL|UEF0e;;f?&GBmKONoL^ZAnD*jG$p?C^26~SsR%b9OiUe;j$oYz@ z>}6D=G)7i*1$jYE2Az+hJAa4{u6miGF69;EYeF};5QI(igb?tWVVFXaLcx3Ux-G=+ zPHDU5!LqWN@G*RGQ@iU4@`S(#k8>goL&8NRQm7R@>`O-?{L*X+ft$>d8pTRiK|3Y| zX}@eYwj3}JJUA6UfEE`Oj0X!tj*Co>8|PXO0~?pu8$(Hi9l?c$BFs#LVM8G_fyASM z$L2Q1QZ^fXPe&%4>9xu$pb)gl&>bAua;1WMX9u$yh3doMh&BFJQ%S9maWe^6+ zJ;slhD^0ftMn2rH!I(jgOpy*jjEI~3KnM*r84EC(;+eIr62j$0N*4l3ktB3Gkp}LF zLhP?;nSq&K8JWS3nCHhsK!uP4DT1PMvj~4we)c8%+gB=~n|a#2z=|Ts#X+qe%>1a< z3~ZmwSsIF5tTRR=0b*o1Zx~`^t8N%AX%vDQ7XdSyD^iw~=5T!cQyF_WokbU1eyG>JJ zlcFG)a1qd{S1?-4lqO;fsg$6Qjs8xg+l*P{^8#Mdx$KZEQLI=@4nO^hZ$3c(Hwa?# zC(*JJfPl>If`AbJCkR@bIy(Ft1^$!zzagOTcM7)5QHwvr+~*H&_}9R$!!ftX*XJra znIt>eW;0z4YTv11MqTy(I5YLSJ~G4Ci_vx77i-{G9sae>EFl2Z@{Qm1m9hKB_5R+a z|2MAN#Lc&d#ZK>QV#nX#-da9h-t8amW(Ut+Iy;|ti480hm&eBi=T0*-x%|H+zTNx# zzOQ$3O^8(A3uQSVj1u^LfBEW7aE`tpk0OF! zJ3l$K_-s^SZ91kzVZON}@H2Jt5x4-q5FOcUGMI>)P3^DFRFbHP=B=n&Q^Nk*yOtviOT{?!yAkzKL!LDDN zyA1JJ-DKwKO9?R%rzaD&XGRS3L5rZKFr2$d^-;LNow{*4hR zt2s$3>uWKZqUO%9Q>`ENc8yszM)V-DT6HpBOeX>_*GTl>X8IZ(7m>fIYstWWAmH^< z&}2}`?lm?Uws-q;kffs2>h+^;y6pMjZjHLY&JlE(tNp;KABmj97|Z#p5kVCQ=AP1k za=Z$PG5c=XW1QKi_Qgk=4*N6wk7!3Q)>-aMk~KEsLu#OIPcX$WbE{Iu7+Kb$(V%oHax!~6lZ*J)nH8gSun z^Ke=2{sF1zu|-C(KEhHV_uSCH?T*H5q3_w8%@fkx7V-))UeOTmMyYzoW~ zspw7Zqz0#cD7Z(JZf6dz9x76PcwTOleV-R0`Jkv8PYU8FjW#g`WNv4Mq)kvXueudq zvvrP?<+dak+<9a9fAU|_Km2EfG=u!fe_fyaH}%PX89~aTpZsTqWCV490$|&ciCHp^ z*0u8@UnCR#$%YME2FWrti#r9cVhKvokv$#4@dj0dPG}=`myDMpa3p*@Y7|;@c~*ND zgP-ynu6HKYMC%z0stDO{=^E1G^XdKhbXmF%sh$SjeWOu>tez>2FjiY0bJ4gqOTiq} zZlPldQz=0j%$q9@a+dOT=SC{BAdD3)(+%5IvRT~bPI~^E3MGsdovG_}t`&`IEwl{V zB?%*PmR|hXy&YcfYkORiAt+;x4fhr2E^K^`#8-S|&5Z4ty`bib(AvwVs3tzA(DSaY zNN1IAs9%^1pU|;`L~yO13rP?DWL=F*lquGri%b%?7zXV3{|^B6$A?S#U|rF@%ZVsU zl;qfgKjJ?#rE~ihB%qL7kw2D|U|&7L>(@$DlU1}pzx^ymUXoL4j4Z~MCBu3DZvn*n z^K)g90f$U{2;T%WT(Bofs0yZo)!|sckWDO$>E_8B!*; za4VGt^*QWFql|W-f`-U`>v$t zt@Bpj_l?ixR!Q$=`@x|}LT1xJqviDT=7ab97i_LR^SA<{@LdL5V=aabAa}3->weqi z``p+p9ZqS2%mR-_M_$z=REBC=(|~ZPHtk;mtcmq5>j{V?2LA{iP!J+SFWxIngRJ1? zH}6N4>W0PRFMNm4FMLtjye&14VK(jQM~=XuB_Nzvm?Nr;*211{D%V}yXWA_$C_&|} z|Ca$KHRNNbh7Wi}l3QP$MEmm;KSottSP(zXebm=JsjOSL*8mR@^l+&wVrw8|#1jeS=qq?8y-H|pPUZqvp75jORCmaJmbOhUpF9!^aB%Zn_RkZcI}3Dlv@{rYnpIb8!mj~O;{SgHxS>8gX(OWXj0B<(swgN8#DSAl$k zHYo&9{WS&9tXzJw-miz3T_J=gzoJL~P280hl5SoO#+Zbi{?Xzo83;t3IT}v-j$?xhMhaj2R(2tI283hPm_nyW#GcklpH9#>(zo zwq-Nr?0QL=inOHCG?z6rf=e|80QhIU>-?H?Pv=iBCmgr_+VAeJIqXrA(JUtOw9_ zCLJw{TPueIs;qUa9?J+7dZxN5HWP{~g9D9~fpSY2feqM{Y(LQlCiBu@@G__i64&ym z#-mVuhze7StwawSjI_PkM>q#8*}pb(hT1%KlmXgS%)=+iw~+{V1~A5NIft9(@l~a) zx`#=qJcdwS3Qk;*&70Xb5(m=ag4pkfO{hFqLJmkZH0RoEWwIcd8ZrIq83lcTUag&y z$+xuuk|RzwcDXLJWGsRyFfY`iK(fyHDA;8XwKDHyE$? z?)%vQ*rY=WJ7uR)VSqSf2~RY|xT)4i4RLqT$!L($88+d_`-bl zuG5Hof`M)Z`x`)oosiS05{iB*b?R%OXp$UQVDtJMYqSxkniH_GIn#%H39$-6L88rC z0H@o@N-|2jEye(++XV-OyX>;~#Ry{7xN)*6z~Lsw=u0#;-ufJIv=N_EXafAY@<)u3 zKxN2UMeMU9#EY@$P}R!3f184!!aD)$Ojw5>PnvJKGo*#?;!r(|t&YtU*|rhZL2fYr z^LK)=0fMC3L7Idh?Q~JJhiOq4<}a>FJwzFLNEX&eGE2Q!Bi(l6q_8hu=q}8*e_P(u z2*x%D5^Ccl>7g6Ap()Q;sFezqk^~y)I3neb*%;M71EN`Pj!5ZabS&k9v?Kv%%7211 z)xSZ!{NDf*kEp+peQ?2(3YMlI>*Mjx&#y(tj_Ba>uw@c4F{I`6{^Z)4=YuR5#2(Lth3&gK=D;VqmQ@PP+} zRi?W%UW)xgh?gHEHFJwo%wO{q(Q?@nEa6aGbO`0_>E(St3RbFf7{O@XJ(~mavl&QS zXY%mM?Lkd!(X2`-xMwnjzMhG*Mip)M0~Ka8!F^Ux;jMW|K*zGO?UohL045trlxmxK@QVDn;w|SIc5o`pQ-J6I_;czTbNz5O@MS` z)39cn;7Px(rKo7Hbf&olvtjf~VFam@m^x+ir4UIh)jPCQR%NC8vIJe>i6j? zLDQuNw+Gr$HfB0vzLDQN+|TjeWKy8#o~{N1E)DP#cbPv8QSBc?1phR|)FA$lPec5v z=^t~OSsLkrYgQ21;5P&%1$Lxoe}1`4AruL`s2=fHQVcFv;IPU%OC?N0h6-QC4Rzst zs5r~8)guQH&XMj~WrJ;X0tC3S)LNP-5luS%7b42A@RsN+{zb&&PekMo>H^{{v9Zvs z-s1$sp07M|cYB}1VFoH>h&FJemrA#DkR}4rdQ$KV_8L2zuSt=Mmm}cAY~4uTS-F_W z{IkMgxXAoZT`C6lm|S4U|0<#d-vf823L}(&o-3bBh8!-(idW@h92?Q+VtT-IM{wr9 zBIf~9lTAlH&XD`jl56pm%Zc|{9-4j8_)$GxZ_+x~CQH%z+n{s1F_Tg2iT)(g0~5TW zr){56Mr&RB->_oNiOavOCw&*uD1I^Cs>@BTPYiO3QEb>4-6!{*K9$^`P>L zC82>Vp&((Xe`Y}-O09VW-f^3-eKm#alj2bRQd~ByM#2&WDJl)u$c3UOmx$D>LiciY#xk?Zgvq z!zU$r-)H#7obch`pCxnrwZscnJoSu9I%PI#Y)rV+l$t+NpO&~u{@<3^pkU4vg9p2a zdqm->9cO^YA!9-h8!rUgWre4{6JC`$=FDDBK`ra2k2i0Xi2seJ$vGc|^v{UDJ)ZlV zfvWU$$>P}|$PTeqiQTL<>*W}Io`JYy+fsqbsE!ib+`MB4@>`@H}l{YD&IGnb<-AX-K_gx9atOg&WnTlY!O zXGL!+T5?pmw2~6uht1;O44osEBe)4cdSA^+Z3IYX^T=h=+#MfX=T^>MD!wWbSVeq9 z{E_Ws^3Q?NK=dx3RN7xZoWQG~45>i)6h&RnT?O!u~nn*%#46RCxy1cxY{~|@g)aatTEmQ-p{6V#`zSXL@y;24NHXcA!x*=*o ze*K_Yr9_%+;$Dn-rDP{iU%x)ThZ2N8+s1EzfH=zKo_M z2zuu@=PRmOvmIFFnu;pAzGc44R-6Apiu~RQHYc(nh6`G>`V}+yUiQ`$|4xei|Cu+j~7hs27fC0CL%@5@d-rgNAQeY&$TE3!K2H&VbC z(&`nI#qh@mAPP%W0M@VFD0_Z9k))E-5tDJBQ^jw_6}%p#>jv3XdeP6<1W6sB+Jax* zsIBE1*y#e`>%blU9D|*+HWjAo6({O(4r6XWm`2$lIl?8a3`$S8+nX|3wBDgCdJr-@ zDDD*I?<|$ZB_%@rCn+MOx`bb)moyW=MJiZFiRN(${7zKL>)<(mSQh_FiuYJFZmN4b zD01#0YZOWgnlwkHp5s5+>QA}Tdz@uf(&Vy-N|oqb1u(tp+JcW)rJLJ3eLD>%HZ}q8 zPtLbjyZn(~J747*Rvpx9zx}jJrP~UJA;YxlIVQteuP|zh*jS-nW7%>?sh^4in9Igu|_9xDMx>@#PAHg>or=T!DKif3V8(uq7R`V)KpTNL>OYIn9gUkNno z)C{XHE-!{bW#jOk**--vhCu!cM$Q>252;fHD>nJkLQuiRGjtk^}* z$1n$Q=sxKn;xGhk0i^eQW_}5z!WPRNInX1l+$TNj2~)YSonL*b_={)x2DttE%%Iyk7`M*P9L z*b{@Zk+v!e&E2Z-?lfev1v0qSq|hp?gJkIx5eZ#bJ(AZ$;JR5l8Xyw+VG$qu^xOYO zF7)VZqZXb(NfRA(q~};3&mJg&+G}d`*D+naAgv;n9U|AII4ALlzN5N0p3;G3TB&=? zLBWGuT~U&KFHjiExPn;YA3SMTD8_3_L!Uc6x^?b@aA9M{g5NQV5(>h^4z|AWo`Hmt zljzYk>BnN>ri&gC5=126-*{IfL<{P7I{Z5*9-&eTYn~gjDzT>8YfSt4b|(PUN=hL) zzl4fXuI@{*Bm(XHDEiX;&7Bj0YuWN1fmy6Y@V-s@2J}9x$im#V80y3-Bia`P)B9?c+C= zClWvp22N3s8Nz>P;t_|$DBMpT33^nk#O7vZCK|nO>`Dx&A`R$^kgVfZUJ86Ykt$tM&RN*(t;IBz#t19qH z!`z24S_WXaTm=N(vXp3A8%|~}L;ouOyB`%+ z$yj$&Q)BQ|$WNpr72dQpDi0>1EsY_}_{!}!0p;8-M(-%Rwd~1Wu6;+MuGn@s z3|#UwEzDPnKAh(m zuAv0kYs@6K&q02D+is&Wpk2MqZx_o}ukttAoH1c4aQYI_z(;J^Ev?AHl{g~baqHOP z0Bmz@xs%gK)H_}=$m1Bq_h7};Ws^j@4Aija;NO>DDxNXREooxZ#!Kjo6*XQX`Ntji z^OzHIYd;^*Eyuh_;>D1V!;j{Uk`I^8l2`$-w)L7JjfRlwa+)#==Czy(H|xhm52_r z@RvJfdt*5dy&i0|_rYTaAzEM~ihX0Do5*aLT4^Jt0_@1V<2ZH&Le>gO^ndj_GXBKI znt#W}&a3~4jdjF?Aoso8ZUctHdAq*tbuedB%FY^}*ogCqjmiJQ#tFBhO2UD>h65RN zvww8-sFWKXc>~1`hVP$VVqV{Ao{~#M#}0wO|m~Io|0xULIMp3BX%zV z0}$xqB2*8yKZE+oi0UE<2%!-Gd~tHzB-MfhOqx_ASt_M!wA8VcP7lZ(I{_%a0X~J z^1@^J(fv`GB{`+9{{NdBRmS15r652)f4zf%5dJ4OI+>dMn>PNBaH@Jpb|;0iU$x2BGn7-_-u&z3wPrJBmp*rsXZ!WiXk>Frj(H}rO9$B zGN1phm(Im%d)^!vl5h8}S5jVZA^$+_T<=e>B!*cVVrZDtFV@HUL&h|dB$P0GlYV|* z@r|~*q~Ae?MyZS0i4-oq`SQ=cSL*QV!_KVJ72FWa~_JqQ!ZkkojE_?Ov z!kR!2b!st`?)q7yLy3X2s2K7U?ytD!P zqIy-NF5&bcgMtIGV-Q z7Jx|E9wDyLe6wCjv7v`%=GKji#L;fb-TijOdZm#1cyjbUl6DgEjwuknq5+6NdO^~KJ-HA0Yb#4)}*-RXFioce*S#zv9rfz@aV&)R6@ z3!O1+w~s%GIq9oU(rOl_GZkANgRwP3aKdxEmyXIzS8>f;H){qV>|1GqkeR(vf4>;I zhhD+l{81L!#FeG8CbS0gI~`Nz^wrjwtD7nK?c}fymMw|JcjKV?#j|KVsUp$Ge^^r`x)vr2{y2>;1jQ2LCp^IDOaB*W}H)eFz zF(^0|H&(&l%x^H~sKblx6DlV=(L-DtGvftG#eV^^fjb*HI3spq+;BU6i!XSyR%3DI zmWD!KKFqrFn!WFIe>Y6gH}zpwP5oU$mAg!VdJ7Xc+KyisGM7kDQF*E?4WyJDWAdD< z5|Y$swh@gZ%_Lm)m63|Dr3zJu8+@J7YZ-*_u*XV#aCg2o=t-smiha?6p&T@_^r!}Q zf*CRcG$MXcdtt>gUf);AAml_{m{bBM@xmk$U@n56bP>K&90TB#^s`pU6uaU(r)VF+ zPg<75&>kf=aB|w&tR#aSR`j&QO>judj0oP;&C00X6(GKr0Xq=djl!33DTU`C)@bmJ zmuIFPf)dX1e zonm=1e2IVPjCk|u$0tdGnHP5w$v({}^eSRCVUruVzy2#Agk$W48>3B5*Ddpaug zMO{6&1H*>wkaCTOyWfO~VCB~AqgjJ;%sqb~-Ymy5W^j*ZC)J2{XVFD2@*9$v@Gaf} zm0dluG&IYlBo#Yso*BEz6dKuGcIdZCsf6PA8NO4SRy3xU$xLgVHS}{#Eql&lmEdCA z#`0RN$*k62pqVr7KvWVVR(-q?NN8`T6_{F*eK23$Uyv4~EB@*6}^1WXXlJ=WXAfuv|#*Ib6^f zZ561V$E#(|+l?*Sw6|({c$wY5TwVBl^-}u5OAyj{87T5=ad&a%b~~r)bP}@u#80O? z+C9^3|IQu!Wzx0E$cK5&0Q#7o#~%XA%HoHpaab3&kE#9zNYcXC#n__}X$g#|ug-e- zBd@`pxr|Qo!P+)3i$G9+)5gq}0%pz0e5J-2{ht-0=cA#xFlYKQ6F(xg}W`;1(6=0dD1{X?O^er(*^qHvQ=JeApmB)B3#%p@u zsq*S*z@CzlMCaV~g$Rl*CQ?30uo5fFLICx3Q$=zE(o1;Wr0=!_Y0EEq#k+Rukm0kF zpTtv*%(e6Y^NBa*E_O*y9xQ33-?YaWt17jNTmvGn?5Xr~qoAy)mkC`UZmkop6-FIZ zqcbjX_?0}ULuQ4y%h-d&IJ=Nc4uAyz$_h|iI_59km~L+MPQ|Qk_2}++8ao~?RUADo z=}t$?OsFxvWO}~}VC8Cv@hBvSngu6VD6Yr0XF&JSF(e~st0U%^Tw+$6OLO*q0>xtR z=fe_u#YtS20fxL9APBl-U;&`=0l4$%>No;|y}LNK7j(L(_0|F|pR8Ak zpO!zo4FNdx>PRgWiLG~W4lf_xVE<)w@3!k+VAP#C>{j5$3iU&2G8mlfbthM?xIIf) zi7i=2yfTt46zW4wp99S5P5?H(;U!CD4Grpg0J(KNiHRbrhmOP*oA8$&ByJbgqC(}= z2lJ*%#V5iEL;B27P`CqQC2xoZdabwM2SO=#TzR1s*BPp<^h|d+2VGi8{sNaMPcN(- zqeF;mowkCx)F8Cp(LY_5UF#Kg_#%1OG0YIc8)miVUrcc_jNLL#mK_8s{uO-^I}NII zYofq^=ebF5aHU^N35}ywkUOUnG(ZK|>k~zmCF~7}9s1v&jrIkRlLk*JSz0kj`<6-&Cv^-8}?9wGHy0u?5oU7?2HD?ymxMdCDAwReirZYi0D_hChqYdWt5$Z}{PqacwbmJ~^FDzgTQOA!YsIAU#? zrixoPAD#f;Tk6pB#7}cqPFCC!tpDm;21-_QIrz9QJrltE{M^qF`=D%pN z$VTd-(3g1Vqejn~sct4)!8XJ;-(L4I&qdaz%vmqOhAPt6Tz(-d*@?;ZDI9g>BhI?j zX=;q?CD~7&$IGcHB;Ao0Vu%ci3@ghZS>Suu42@|x+IovY$66D?EF)J@F2I3aztW*K=|1}i=EGp)Ln*7r#D)QrnX<{(*=(SA5#ope zNPl==CJPB|&a3_KM9+dHx;_|@4<~V&X|^Jo;6nJoSHeKxyjnnmjFX3)CZ$GUKG{I2 z2PT{ELF9W=B*-dQwVSunhk1y?ZfkP0Y zc*n~oBCsML(`WvoQh1^gJc_9-?vbjDFlr{OqWMN_eO-lNSS2@Yl}5^Zo{@Bzn+k)p zGMHd{tnVM!uf{IvWYNqrBWueC$=y32rvWrW>iGqne_48XTcZo+T>;Wax4UuR`X8=r zt9N(qPFv~(@h5u$3YIMZAbT+-FC+X#1dJIlKo$0C!EDol*&bjIPAZ;^OJ|YC=`?n1 z*U0-$O>W%O4UmlAX4rQtTf`~#@3XtYm}Np-nHqLC)f|#_B_#jR8((3 z+PRLCOYoNAW6ay__uBBl%dZC25A5YyCJx*R12xv%Wsb59 zpZRXzpD28q@VQ<{tW_$tu|~a#9e=ww6Zh3f8qjG_?8wG|)2a9H&r# z-p1{b_VV$Q;Cdy7c0HbdnLS*x4H*1lTVGLaEC3mN{+iS9$7apQ0ko<7FW6>=EYhs~ zj8j+h5(xnC?}Y*{>RQ01znA|V|G#YDpNv1Xf&M=F4@2)lg7BgM{%@6n{sjN|$M`qc zn(rU*fB13yC+yD-!f)7!(m$|&+fVot_2*0AH_AZmAJp&q|6fEGpZxY;ghf~L3fbRB PuUyQSi+~B}{=NGjBO56l literal 0 HcmV?d00001